本站已收录 番号和无损神作磁力链接/BT种子 

[ DevCourseWeb.com ] Udemy - Xilinx Fpgas - Learning Through Labs Using Vhdl

种子简介

种子名称: [ DevCourseWeb.com ] Udemy - Xilinx Fpgas - Learning Through Labs Using Vhdl
文件类型: 视频
文件数目: 86个文件
文件大小: 1.11 GB
收录时间: 2022-12-21 04:23
已经下载: 3
资源热度: 95
最近下载: 2024-7-2 11:31

下载BT种子文件

下载Torrent文件(.torrent) 立即下载

磁力链接下载

magnet:?xt=urn:btih:94bc6e894c7336c0cde9622066426f6c9f513201&dn=[ DevCourseWeb.com ] Udemy - Xilinx Fpgas - Learning Through Labs Using Vhdl 复制链接到迅雷、QQ旋风进行下载,或者使用百度云离线下载。

喜欢这个种子的人也喜欢

种子包含的文件

[ DevCourseWeb.com ] Udemy - Xilinx Fpgas - Learning Through Labs Using Vhdl.torrent
  • ~Get Your Files Here !/1 - Introduction to the Course/1 - Introduction.mp420.2MB
  • ~Get Your Files Here !/10 - Lab 9 Potentiometer Interface/65 - Potentiometer Interface Explained.mp428.47MB
  • ~Get Your Files Here !/10 - Lab 9 Potentiometer Interface/67 - Potentiometer Interface Lab Setup.mp414.22MB
  • ~Get Your Files Here !/10 - Lab 9 Potentiometer Interface/68 - Arty Board Potentiometer Interface Demonstration.mp418.98MB
  • ~Get Your Files Here !/10 - Lab 9 Potentiometer Interface/69 - Arty Z7 Board Potentiometer Interface Demonstration.mp415.61MB
  • ~Get Your Files Here !/10 - Lab 9 Potentiometer Interface/70 - Basys 3 Board Potentiometer Interface Demonstration.mp413.41MB
  • ~Get Your Files Here !/13 - Conclusion/87 - Conclusion.mp47.37MB
  • ~Get Your Files Here !/2 - Lab 1 BCD Display/10 - BCD Display Lab Setup.mp410.66MB
  • ~Get Your Files Here !/2 - Lab 1 BCD Display/12 - Arty Board BCD Display Demonstration.mp410.2MB
  • ~Get Your Files Here !/2 - Lab 1 BCD Display/13 - Arty Z7 Board BCD Display Demonstration.mp412.07MB
  • ~Get Your Files Here !/2 - Lab 1 BCD Display/14 - Basys 3 Board BCD Display Demonstration.mp411.71MB
  • ~Get Your Files Here !/2 - Lab 1 BCD Display/15 - Basys 2 Board BCD Display Demonstration.mp411.01MB
  • ~Get Your Files Here !/2 - Lab 1 BCD Display/8 - BCD Display Explained.mp462.62MB
  • ~Get Your Files Here !/3 - Lab 2 Linear Feedback Shift Register/16 - Linear Feedback Shift Register Explained.mp452.92MB
  • ~Get Your Files Here !/3 - Lab 2 Linear Feedback Shift Register/18 - Linear Feedback Shift Register Lab Setup.mp410.66MB
  • ~Get Your Files Here !/3 - Lab 2 Linear Feedback Shift Register/19 - Arty Board LFSR Demonstration.mp410.18MB
  • ~Get Your Files Here !/3 - Lab 2 Linear Feedback Shift Register/20 - Arty Z7 Board LFSR Demonstration.mp412.35MB
  • ~Get Your Files Here !/3 - Lab 2 Linear Feedback Shift Register/21 - Basys 3 Board LFSR Demonstration.mp410.32MB
  • ~Get Your Files Here !/3 - Lab 2 Linear Feedback Shift Register/22 - Basys 2 Board LFSR Demonstration.mp413.93MB
  • ~Get Your Files Here !/3 - Lab 2 Linear Feedback Shift Register/Linear_Feedback_Shift_Register/Linear_Feedback_Shift_Register.runs/impl_1/Xil/Vivado-8240-/dcp/LFSR_Top.shape11.36KB
  • ~Get Your Files Here !/4 - Lab 3 Booths Algorithm/23 - Booths Algorithm Explained.mp465.88MB
  • ~Get Your Files Here !/4 - Lab 3 Booths Algorithm/25 - Booths Algorithm Lab Setup.mp413.4MB
  • ~Get Your Files Here !/4 - Lab 3 Booths Algorithm/26 - Arty Board Booths Algorithm Demonstration.mp420.92MB
  • ~Get Your Files Here !/4 - Lab 3 Booths Algorithm/27 - Arty Z7 Board Booths Algorithm Demonstration.mp421.56MB
  • ~Get Your Files Here !/4 - Lab 3 Booths Algorithm/28 - Basys 3 Board Booths Algorithm Demonstration.mp418.5MB
  • ~Get Your Files Here !/4 - Lab 3 Booths Algorithm/29 - Basys 2 Board Booths Algorithm Demonstration.mp416.55MB
  • ~Get Your Files Here !/5 - Lab 4 Barrel Shifter/30 - Barrel Shifter Explained.mp459.2MB
  • ~Get Your Files Here !/5 - Lab 4 Barrel Shifter/32 - Barrel Shifter Lab Setup.mp412.34MB
  • ~Get Your Files Here !/5 - Lab 4 Barrel Shifter/33 - Arty Board Barrel Shifter Demonstration.mp420.24MB
  • ~Get Your Files Here !/5 - Lab 4 Barrel Shifter/34 - Arty Z7 Board Barrel Shifter Demonstration.mp417.18MB
  • ~Get Your Files Here !/5 - Lab 4 Barrel Shifter/35 - Basys 3 Board Barrel Shifter Demonstration.mp417.48MB
  • ~Get Your Files Here !/5 - Lab 4 Barrel Shifter/36 - Basys 2 Board Barrel Shifter Demonstration.mp422.01MB
  • ~Get Your Files Here !/6 - Lab 5 ALU Arithmetic Logic Unit/37 - ALU Arithmetic Logic Unit Explained.mp468.16MB
  • ~Get Your Files Here !/6 - Lab 5 ALU Arithmetic Logic Unit/39 - ALU Lab Setup.mp413.4MB
  • ~Get Your Files Here !/6 - Lab 5 ALU Arithmetic Logic Unit/40 - Arty Board ALU Demonstration.mp421.31MB
  • ~Get Your Files Here !/6 - Lab 5 ALU Arithmetic Logic Unit/41 - Arty Z7 Board ALU Demonstration.mp425.28MB
  • ~Get Your Files Here !/6 - Lab 5 ALU Arithmetic Logic Unit/42 - Basys 3 Board ALU Demonstration.mp423.23MB
  • ~Get Your Files Here !/6 - Lab 5 ALU Arithmetic Logic Unit/43 - Basys 2 Board ALU Demonstration.mp420.29MB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/44 - Temperature Sensor Interface Explained.mp448.51MB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/46 - Temperature Sensor Lab Setup.mp416.62MB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/47 - Arty Board Temperature Sensor Interface Demonstration.mp417.86MB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/48 - Arty Z7 Board Temperature Sensor Interface Demonstration.mp415.9MB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/49 - Basys 3 Board Temperature Sensor Interface Demonstration.mp415.49MB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/Temperature_Sensor_Interface.sdk/metadata/plugins/org.eclipse.jdt.core/variablesAndContainers.dat96B
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Interface/Debug/objects.mk285B
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Interface/Debug/src/platform.d1.28KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Interface/Debug/src/platform.o65.06KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Interface/src/platform.c3.13KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Interface/src/platform.h1.74KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Interface_bsp/design_1_microblaze_mcs_1_0/libsrc/iomodule_v2_2/src/xiomodule_stats.c4.83KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Interface_bsp/design_1_microblaze_mcs_1_0/libsrc/standalone_v5_3/src/microblaze_disable_interrupts.S2.44KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Interface_bsp/design_1_microblaze_mcs_1_0/libsrc/standalone_v5_3/src/microblaze_disable_interrupts.o1.38KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Interface_bsp/design_1_microblaze_mcs_1_0/libsrc/standalone_v5_3/src/microblaze_enable_interrupts.S2.43KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Interface_bsp/design_1_microblaze_mcs_1_0/libsrc/standalone_v5_3/src/microblaze_enable_interrupts.o1.38KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Interface_bsp/design_1_microblaze_mcs_1_0/libsrc/standalone_v5_3/src/profile/profile_mcount_arm.S2KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Reading/Debug/objects.mk285B
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Reading_bsp/design_1_microblaze_mcs_0_1/libsrc/iomodule_v2_2/src/xiomodule_stats.c4.83KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Reading_bsp/design_1_microblaze_mcs_0_1/libsrc/standalone_v5_3/src/microblaze_disable_interrupts.S2.44KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Reading_bsp/design_1_microblaze_mcs_0_1/libsrc/standalone_v5_3/src/microblaze_disable_interrupts.o1.38KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Reading_bsp/design_1_microblaze_mcs_0_1/libsrc/standalone_v5_3/src/microblaze_enable_interrupts.S2.43KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Reading_bsp/design_1_microblaze_mcs_0_1/libsrc/standalone_v5_3/src/microblaze_enable_interrupts.o1.38KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Reading_bsp/design_1_microblaze_mcs_0_1/libsrc/standalone_v5_3/src/profile/profile_mcount_arm.S2KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Reading_bsp/design_1_microblaze_mcs_0_1/libsrc/uartlite_v3_1/src/xuartlite_stats.c4.94KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Sensor/Debug/objects.mk285B
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Sensor/Debug/src/platform.d1.24KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Sensor/Debug/src/platform.o65.05KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Sensor/src/platform.c3.13KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Sensor/src/platform.h1.74KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Sensor_bsp/design_1_microblaze_mcs_0_0/libsrc/iomodule_v2_2/src/xiomodule_stats.c4.83KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Sensor_bsp/design_1_microblaze_mcs_0_0/libsrc/standalone_v5_3/src/microblaze_disable_interrupts.S2.44KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Sensor_bsp/design_1_microblaze_mcs_0_0/libsrc/standalone_v5_3/src/microblaze_disable_interrupts.o1.38KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Sensor_bsp/design_1_microblaze_mcs_0_0/libsrc/standalone_v5_3/src/microblaze_enable_interrupts.S2.43KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Sensor_bsp/design_1_microblaze_mcs_0_0/libsrc/standalone_v5_3/src/microblaze_enable_interrupts.o1.38KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/Temperature_Sensor_bsp/design_1_microblaze_mcs_0_0/libsrc/standalone_v5_3/src/profile/profile_mcount_arm.S2KB
  • ~Get Your Files Here !/7 - Lab 6 Temperature Sensor Interface/Temperature_Sensor_Interface/software/metadata/plugins/org.eclipse.jdt.core/variablesAndContainers.dat96B
  • ~Get Your Files Here !/8 - Lab 7 Tilt Sensor Interface/51 - Tilt Sensor Interface Explained.mp416.27MB
  • ~Get Your Files Here !/8 - Lab 7 Tilt Sensor Interface/53 - Tilt Sensor Lab Setup.mp414.22MB
  • ~Get Your Files Here !/8 - Lab 7 Tilt Sensor Interface/54 - Arty Board Tilt Sensor Interface Demonstration.mp415.3MB
  • ~Get Your Files Here !/8 - Lab 7 Tilt Sensor Interface/55 - Arty Z7 Board Tilt Sensor Interface Demonstration.mp419.43MB
  • ~Get Your Files Here !/8 - Lab 7 Tilt Sensor Interface/56 - Basys 3 Board Tilt Sensor Interface Demonstration.mp410.36MB
  • ~Get Your Files Here !/8 - Lab 7 Tilt Sensor Interface/57 - Basys 2 Board Tilt Sensor Demonstration.mp420.09MB
  • ~Get Your Files Here !/9 - Lab 8 Microphone Interface/58 - Microphone Interface Explained.mp444.37MB
  • ~Get Your Files Here !/9 - Lab 8 Microphone Interface/60 - Microphone Interface Lab Setup.mp47.53MB
  • ~Get Your Files Here !/9 - Lab 8 Microphone Interface/61 - Arty Board Microphone Interface Demonstration.mp414MB
  • ~Get Your Files Here !/9 - Lab 8 Microphone Interface/62 - Arty Z7 Board Microphone Interface Demonstration.mp415.58MB
  • ~Get Your Files Here !/9 - Lab 8 Microphone Interface/63 - Basys 3 Board Microphone Interface Demonstration.mp415.95MB